Đồ án Lọc thích nghi với thuật toán LMS và ứng dụng trong cân bằng kênh

Xử lý tín hiệu số là một công nghệ tiên tiến đã và đang làm thay đổi có tính cách mạng trong rất nhiều lĩnh vực, từ những lĩnh vực tổng quát nhất như lọc số, lọc thích nghi, sự tương quan giữa các tín hiệu đến việc áp dụng các thuật toán nhanh FFT(Fast Fourier Trasform) hay LMS (Least Mean Square),.để tạo nên các thiết bị, phân tích các quá trình quá độ, các máy phân tích phổ, các hệ thống khử nhiễu, cân bằng kênh, xử lý âm thanh và hình ảnh. Sự phát triển của xử lý tín hiệu số thật phong phú và đa dạng vừa có tính chất tổng quát, cơ bản, nhưng cũng rất chuyên sâu. Mỗi lĩnh vực đều phát triển phương pháp xử lý riêng cho mình, đáp ứng nhu cầu do ngành đó đặt ra. Trong đó việc sử dụng kỹ thuật lọc thích nghi dựa trên thuật toán LMS đã trở nên phổ biến và được ứng dụng rộng rãi trong thực tế nhờ vào tính chất hoạt động mềm dẻo, thông minh và thật sự hiệu quả của bộ lọc. Chẳng hạn như khử nhiễu và trong mã hoá tiếng nói, trong kỹ thuật truyền số liệu, nhận dạng hàm hệ thống. Để minh chứng cho sự hoàn hảo này, sau đây chúng ta hãy lần lượt tìm hiểu và phân tích cấu trúc bộ lọc số, lọc thích nghi và những ứng dụng cơ bản trong kỹ thuật lọc thích nghi. Đặc biệt đi sâu vào phân tích và đánh giá tính hiệu quả ứng dụng trong cân bằng kênh. Đồ án được chia thành bốn chương: • Chương 1: Giới thiệu tổng quan về cấu trúc bộ lọc số • Chương 2: Trình bày lý thuyết cơ sở lọc thích nghi và thuật toán LMS • Chương 3: Trình bày những ứng dụng của bộ lọc thích nghi • Chương 4: Mô phỏng ứng dụng lọc thích nghi trong cân bằng kênh

docChia sẻ: tuandn | Lượt xem: 5105 | Lượt tải: 1download
Bạn đang xem nội dung tài liệu Đồ án Lọc thích nghi với thuật toán LMS và ứng dụng trong cân bằng kênh, để tải tài liệu về máy bạn click vào nút DOWNLOAD ở trên
Lời cam đoan Để hoàn thành Đồ án tốt nghiệp của ngành điện tử viễn thông, em thực hiện đề tài: “Lọc thích nghi với thuật toán LMS và ứng dụng trong cân bằng kênh”, dưới sự hướng dẫn của thầy Ngô Văn Sỹ. Em xin cam đoan là đồ án này do chính sức em làm, dựa trên những tài liệu hướng dẫn đã được chỉ rõ ở mục sau của đề tài và không giống hoàn toàn những đồ án hay công trình đã có trước đây của Đại học bách khoa Đà Nẵng hay của các trường đại học hay học viện nào khác. Đà Nẵng, ngày 30 tháng 5 năm 2006 Mục lục Danh mục từ viết tắt 1 Lời mở đầu 2 Chương 1 : Tổng quan về cấu trúc bộ lọc số 4 1.1 Giới thiệu chương 4 1.2 Cấu trúc của bộ lọc IIR 5 1.2.1 Cấu trúc dạng trực tiếp của bộ lọc IIR 5 1.2.2 Cấu trúc dạng nối tiếp của bộ lọc IIR 7 1.2.3 Cấu trúc dạng song song của bộ lọc IIR 8 1.3 Cấu trúc của bộ lọc FIR 9 1.3.1 Cấu trúc dạng trực tiếp 9 1.3.2 Cấu trúc dạng nối tiếp 10 1.3.3 Cấu trúc bộ lọc FIR pha tuyến tính 11 1.4 Cấu trúc dạng mắt cáo 11 1.5 Kết luận chương 14 Chương 2 : Lọc thích nghi và thuật toán LMS 15 2.1 Giơí thiệu chương 15 2.2 Cấu trúc của các bộ lọc thích nghi 15 2.3 Bộ lọc Wiener 18 2.3.1 Đại cương 18 2.3.2 Bộ lọc thích nghi FIR 22 2.4 Các thuật toán thích nghi 25 2.4.1 Phương pháp giảm bước nhanh nhất 25 2.4.2 Thuật toán bình phương trung bình tối thiểu - LMS 30 2.5 Kết luận chương: 34 Chương 3 : Các ứng dụng của bộ lọc thích nghi 35 3.1 Giơí thiệu chương 35 3.2 Sự cân bằng kênh 35 3.3 Cải thiện tín hiệu trên đường truyền 39 3.4 Chặn nhiễu kiểu thích nghi 42 3.5 Lọc thích nghi khử tạp âm 42 3.6 Kết luận chương 43 Chương 4 : Mô phỏng ứng dụng cân bằng kênh thích nghi 44 4.1 Giơí thiệu chương 44 4.2 Khảo sát tính hội tụ của thuật toán LMS 44 4.2.1 Bộ cân bằng tuyến tính thích nghi 44 4.2.2 Bài toán minh họa tính hội tụ của thuật toán LMS 47 4.3.Mô phỏng cân bằng kênh thích nghi 48 4.3.1 Sơ lược về phần mềm Simulink 48 4.3.2 Phần mô phỏng chính 57 Kết luận và hướng phát triển của đề tài 59 Tài liệu tham khảo 61 Phần phụ lục 62 DANH MỤC TỪ VIẾT TẮT FFT : Fast Fourier Tranform ( Biến đổi Fourier nhanh ) FIR : Finite Impulse Reponse ( Đáp ứng xung hữu hạn ) IIR : Infinite - duration Impulse Reponse ( Đáp ứng xung vô hạn ) ISI : Intersymbol Interference ( Nhiễu giao thoa ký hiệu ) LMS : Least Mean Square ( Bình phương trung bình tối thiểu ) LTI : Linear Time Invariable ( Tuyến tính - bất biến thời gian ) MSE : Mean Square Error ( Sai số bình phương nhỏ nhất ) Lời mở đầu Xử lý tín hiệu số là một công nghệ tiên tiến đã và đang làm thay đổi có tính cách mạng trong rất nhiều lĩnh vực, từ những lĩnh vực tổng quát nhất như lọc số, lọc thích nghi, sự tương quan giữa các tín hiệu đến việc áp dụng các thuật toán nhanh FFT(Fast Fourier Trasform) hay LMS (Least Mean Square),...để tạo nên các thiết bị, phân tích các quá trình quá độ, các máy phân tích phổ, các hệ thống khử nhiễu, cân bằng kênh, xử lý âm thanh và hình ảnh... Sự phát triển của xử lý tín hiệu số thật phong phú và đa dạng vừa có tính chất tổng quát, cơ bản, nhưng cũng rất chuyên sâu. Mỗi lĩnh vực đều phát triển phương pháp xử lý riêng cho mình, đáp ứng nhu cầu do ngành đó đặt ra. Trong đó việc sử dụng kỹ thuật lọc thích nghi dựa trên thuật toán LMS đã trở nên phổ biến và được ứng dụng rộng rãi trong thực tế nhờ vào tính chất hoạt động mềm dẻo, thông minh và thật sự hiệu quả của bộ lọc. Chẳng hạn như khử nhiễu và trong mã hoá tiếng nói, trong kỹ thuật truyền số liệu, nhận dạng hàm hệ thống... Để minh chứng cho sự hoàn hảo này, sau đây chúng ta hãy lần lượt tìm hiểu và phân tích cấu trúc bộ lọc số, lọc thích nghi và những ứng dụng cơ bản trong kỹ thuật lọc thích nghi. Đặc biệt đi sâu vào phân tích và đánh giá tính hiệu quả ứng dụng trong cân bằng kênh. Đồ án được chia thành bốn chương: Chương 1: Giới thiệu tổng quan về cấu trúc bộ lọc số Chương 2: Trình bày lý thuyết cơ sở lọc thích nghi và thuật toán LMS Chương 3: Trình bày những ứng dụng của bộ lọc thích nghi Chương 4: Mô phỏng ứng dụng lọc thích nghi trong cân bằng kênh Trong quá trình làm đồ án, mặc dầu đã có nhiều cố gắng, song không thể tránh khỏi những sai sót, em rất mong nhận được sự góp ý tận tình của hội đồng bảo vệ để em có thể hoàn thiện hơn trong những luận án sau này. Xin chân thành cảm ơn quý thầy cô trong khoa, đặc biệt là thầy Ngô Văn Sỹ đã tận tình hướng dẫn cho em hoàn thành đồ án này. Người viết CHƯƠNG 1 : TỔNG QUAN VỀ CẤU TRÚC BỘ LỌC SỐ Giới thiệu chương Các hệ thống LTI (Linear Time Invariable) hay các mạch lọc số có thể được biểu thị dưới dạng các bộ nhân, bộ cộng và bộ trễ đơn vị (Hình 1.1) liên kết với nhau tạo thành sơ đồ dòng tín hiệu. Sơ đồ dòng tín hiệu thực hiện một chức năng tính toán xác định, biểu thị bằng phương trình sai phân hoặc bằng hàm truyền của một hệ thống hay của một mạch lọc số. Sơ đồ dòng tín hiệu lại có nhiều dạng cấu trúc khác nhau, tuy nhiên ta luôn tìm được một cấu trúc tối ưu hay còn gọi cấu trúc chính tắc. Đó là cấu trúc có các bộ nhân, bộ cộng và bộ trễ đơn vị là ít nhất. Thiết lập cấu trúc là bước đầu tiên để thực thi phần cứng và phần mềm cho mạch lọc số.  Lọc tuyến tính và bất biến thời gian (LTI) được đặc trưng bởi đáp ứng xung h(n) của nó. Đáp ứng đối với tín hiệu vào bất kỳ x(n) sẽ tổng chập của h(n) với x(n). Tuy nhiên nhiều khi ta liên hệ trực tiếp tín hiệu ra và vào bằng phương trình hiệu. Xét phương trình hiệu hay cấu trúc mạch lọc người ta chia ra làm hai loại lớn đó là lọc phi đệ quy FIR và lọc đệ quy IIR. Trong chương này chúng ta lần lượt xét các cấu trúc của hai loại mạch lọc đó. 1.2 Cấu trúc của bộ lọc IIR Bộ lọc số IIR có đáp ứng xung dài vô hạn và được mô tả bằng phương trình sai phân như sau:  (1.1) Đối với bộ lọc LTI nhân quả thì MN, ở đây N là bậc của mạch lọc số. 1.2.1 Cấu trúc dạng trực tiếp của bộ lọc IIR Lấy biến đổi z phương trình sai phân (1.1) và sau đó lập tỉ số Y(z)/X(z) ta sẽ thu được hàm truyền của mạch lọc này: H(z)  (1.2) Nếu đặt H(z) = H1(z).H2(z) (1.3) Trong đó: H1(z) =  (1.4) H2(z) =  (1.5) Thì chúng ta sẽ thu được cấu trúc trực tiếp của bộ lọc IIR. Đó là cấu trúc gồm đủ các bộ cộng, bộ nhân và bộ trể đơn vị như trong phương trình sai phân. Bây giờ nếu thực thi hàm truyền H2(z) trước, sau đó đến H1(z) có nghĩa là thực hiện hàm truyền H(z) dưới dạng sau: H(z) = H2(z).H1(z) (1.6) Thì ta sẽ thu được cấu trúc dạng trực tiếp 2. Sau khi thu được cấu trúc dạng trực tiếp 2, ta thực hiện phép chuyển vị sao cho H1(z) thực hiện trước rồi mới đến H2(z), có nghĩa là ta thực thi hàm truyền H(z) dưới dạng: H(z) = H1(z).H2(z) (1.7) Như vậy sẽ thu được cấu trúc dạng trực tiếp 1. 1.2.2 Cấu trúc dạng nối tiếp của bộ lọc IIR Trong nhiều ứng dụng thực tế, hàm truyền của mạch lọc bậc N thường được khai triển thành tích các hàm truyền bậc hai. Do vậy khi thực thi dạng khai triển này, sẽ thu được cấu trúc dưới dạng nối tiếp các hệ thống bậc hai. Thật vậy, hàm truyền H(z) từ (1.2) có thể khai triển dưới dạng sau: H(z) =  (1.8) Trong đó L =  . Vậy nếu N lẻ thì ngoài các hệ thống bậc hai còn có một hệ thống bậc nhất ghép nối tiếp, và Hi(z) = (1.9 a) là hàm truyền của mạch lọc bậc hai thứ i. 1.2.3 Cấu trúc dạng song song của bộ lọc IIR Nếu phân tích các hàm truyền H(z) của mạch lọc IIR bậc N (1.2) thành tổng các hàm truyền bậc hai như sau: H(z) = C0 +  = C0 +  (1.9 b) Trong đó L = , thì sẽ thu được cấu trúc gồm các hệ thống bậc hai ghép song song với nhau như trên hình 1.6. Nếu N lẻ thì ngoài các hệ thống bậc hai còn có các hệ thống bậc nhất ghép song song. Mạch lọc bậc hai thứ i có hàm truyền dạng: Hi(z) =  (1.10) Cấu trúc các bộ lọc FIR Mạch lọc FIR bậc M có hàm truyền H(z) dạng sau: H(z) =  (1.11) Quan hệ giữa tín hiệu vào x[n] và tín hiệu lối ra y[n] được biểu thị bằng phương trình sai phân bậc M: y[n] =  (1.12) Ở đây hệ số bm (m=0,1,...,M) cũng chính là đáp ứng xung đơn vị của mạch lọc. Cấu trúc dạng trực tiếp Phương pháp thường dùng nhất để thực hiện bộ lọc FIR là phương pháp dạng trực tiếp (direct form), phương pháp này sử dụng đường trì hoãn rẽ nhánh (tapped delay line) được biểu thị trên hình 1.7. Cấu trúc này yêu cầu M + 1 phép nhân, M phép cộng và M trì hoãn. Tuy nhiên, nếu có các đối xứng trong đáp ứng xung đơn vị, ta có thể giảm bớt số lượng phép nhân. Cấu trúc nối tiếp Nếu phân tích hàm truyền H(z) từ (1.11) thành tích các hàm truyền bậc hai dưới dạng: H(z) =  = b0 (1.13) Trong đó L= [] thì mạch lọc FIR được thực thi dưới dạng các mạch lọc bậc hai ghép nối tiếp. Trường hợp M lẻ thì ngoài các mạch lọc bậc hai còn có một mạch lọc bậc nhất ghép nối tiếp. Cấu trúc mạch lọc FIR pha tuyến tính Nếu đáp ứng xung của bộ lọc FIR thoả mãn điều kiện đối xứng: h[n]= h[ M- n ], n = 0,1,2,...,M-1 (1.14) thì trong sơ đồ dòng tín hiệu có thể rút bớt được một nửa bộ nhân hệ số. Cấu trúc mắt cáo Cấu trúc mạng mắt cáo hay còn gọi là cấu trúc mạch lọc ô mạng (lattice filter structures) rất có ích trong xử lý tiếng nói và trong thực thi các mạch lọc thích nghi dùng để tiên đoán tuyến tính. Trong xử lý tiếng nói, cấu trúc này thường được sử dụng nhiều hơn các cấu trúc FIR và IIR, bởi vì trong phân tích và tổng hợp tiếng nói chỉ cần một lượng nhỏ các hệ số cũng có thể cho phép một số lượng lớn các formants được mô hình hoá theo thời gian thực. Có hai cấu trúc mắt cáo chính là mạng toàn điểm không và mạng toàn điểm cực. Mạng vừa có điểm không vừa có điểm cực thì được gọi là mạng bậc thang mắt cáo (ladder). Cấu trúc dạng mắt cáo có thể được dùng để thực hiện các bộ lọc số FIR và cả IIR. Trong mục này chỉ mô tả đối với bộ lọc IIR. Mạch lọc IIR toàn điểm cực có hàm truyền H(z) dạng: H(z) = (1.15) Sẽ có sơ đồ dòng tín hiệu cho trên hình 1.10 Biến đổi z giữa tín hiệu fm[n] và fm-1[n] liên hệ với nhau bằng hệ thức sau: Fm-1(z) = , m = M, M-1, ..., 1 (1.16) Phương trình (1.16) cho phép tính đa thức bậc thấp hơn Fm-1(z) từ Fm(z). Do đó, phương pháp này còn có tên là phương pháp hạ cấp, bắt đầu từ m và lui dần tới m=1. Các hệ số phản xạ km liên hệ với các hệ số ak của H(z) từ (1.15) bằng hệ thức truy hồi: am-1,i = (1.17) với km= amm ; m = M, M-1,..., 1 và i = 0, 1, 2,.., m-1 ;  Cấu trúc thang - mắt cáo(lattice- ladder) Cấu trúc thang mắt cáo hay còn gọi là cấu trúc Gray- Markel được thực thi đối với mạch lọc IIR tổng quát. H(z) ==  (1.18) Cấu trúc mày được thực thi theo hai bước. Bước đầu tiên là thực thi cấu trúc mắt cáo toàn điểm cực như trong hình 1.10 với các hệ số phản xạ km, 1. Bước thứ hai là cộng các lối ra gm[n] với các hằng số Cm như trong hình 1.11 để tạo ra cấu trúc thang- mắt cáo. Kết luận chương Qua chương 1, chúng ta đã được tìm hiểu về cấu trúc tổng quan của các bộ lọc số. Những cấu trúc đó được cấu thành từ những bộ nhân, bộ cộng và bộ trễ đơn vị để tạo thành sơ đồ dòng tín hiệu. Hoàn chỉnh một cấu trúc là công việc rất quan trọng để sao cho mạch lọc số đó có được một cấu trúc tối ưu nhất; là cơ sở cho việc thiết lập phần cứng và phần mềm của bộ lọc. Từ những cấu trúc của các bộ lọc số cơ bản, ta ứng dụng các thuật toán thích nghi cải biến bộ lọc để cho ra một bộ lọc thích nghi hoàn chỉnh với những tính năng rất thực tế và hiệu quả. Chúng ta cùng qua chương 2 để tìm hiểu kỹ hơn về vấn đề này. CHƯƠNG 2 : LỌC THÍCH NGHI VÀ THUẬT TOÁN LMS Giới thiệu chương Trong các bộ lọc số quy ước (FIR và IIR), mọi thông số của quá trình lọc dùng để xác định các đặc trưng của hệ thống coi như đã biết. Các thông số này có thể biến đổi theo thời gian, trong một số bài toán thực tiễn cho thấy một số thông số có độ bất ổn định cao và bản chất của sự biến thiên thì không tiên đoán được. Để giải quyết vấn đề đó, người ta nghiên cứu thiết kế bộ lọc sao cho có thể tự thích nghi với hoàn cảnh hiện hành, có nghĩa là nó có thể tự điều chỉnh các hệ số trong bộ lọc để bù lại các thay đổi trong tín hiệu vào, tín hiệu ra, hoặc trong thông số của hệ thống. Đó chính là bộ lọc thích nghi. Cùng với sự ứng dụng thuật toán bình phương trung bình tối thiểu LMS sẽ giúp dãy sai số hội tụ về không với tốc độ rất nhanh. Trong chương này, chúng ta sẽ đi sâu vào phân tích hai vấn đề đó: lọc thích nghi và thuật toán LMS. 2.2 Cấu trúc của các mạch lọc thích nghi Cấu trúc thường được sử dụng trong mạch lọc thích nghi là cấu trúc ngang mô tả trên hình 2.1. Ở đây, mạch lọc thích nghi có một lối vào x[n] và một lối ra là y[n]. Dãy d[n] là tín hiệu mong muốn của dãy lối vào, x[n]. Phương trình sai phân mô tả quan hệ giữa lối vào và lối ra của mạch lọc cho bởi: y[n] = (2.1) trong đó wk[n] là các hệ số và N là chiều dài của mạch lọc. Tín hiệu lối vào x[n-k] với k = 0, 1, 2,..., N-1: là táp tín hiệu lối vào. Táp trọng số wk[n] có thể thay đổi đối với thời gian và được điều khiển bằng thuật toán thích nghi. Trong một số áp dụng, các mẫu lối vào không chứa các mẫu trễ. Khi đó cấu trúc của mạch lọc thích nghi có dạng như trên hình 2.2. Sơ đồ này được gọi là tổ hợp tuyến tính bởi vì lối ra của nó là một tổ hợp tuyến tính của các tín hiệu thu được khác nhau tại các dãy lối vào của nó: y[n] = (2.2) Cấu trúc của các hình 2.1 và hình 2.2 là cấu trúc không đệ quy, có nghĩa là việc tính toán các mẫu lối ra ở thời điểm hiện tại không liên quan đến các mẫu lối ra trước đó. Do đó trong sơ đồ dòng tín hiệu sẽ không có mạch phản hồi. Vì vậy, các mạch lọc thích nghi cho trên hình 2.1 và hình 2.2 là những mạch lọc FIR, có đáp ứng xung hữu hạn gồm N mẫu. Vì việc điều chỉnh các hệ số của mạch lọc IIR thích nghi khó hơn rất nhiều mạch lọc FIR nên các mạch lọc thích nghi IIR ít gặp trong thực tế. Ngoài ra, các mạch lọc thích nghi IIR rất khó ổn định. Tuy nhiên hàm sai số của sai số bình phương trung bình của mạch lọc này lại thường có rất nhiều điểm cực tiểu cục bộ. Điều này dẫn đến tính hội tụ của mạch lọc tại các điểm cực tiểu địa phương chứ không phải ở cực tiểu tổng quát của hàm sai số. Chính vì các lý do trên mà trong thực tế, các mạch lọc thích nghi FIR được sử dụng rộng rãi hơn, trong khi các mạch lọc IIR thích nghi chỉ được sử dụng trong những trường hợp đặc biệt. Ngoài hai cấu trúc trên, trong một số trường hợp, người ta còn sử dụng các cấu trúc mắt cáo. Nói chung cấu trúc mắt cáo phức tạp hơn nhiều so với FIR và IIR, tuy nhiên trong một số ứng dụng chúng lại thể hiện được nhiều ưu điểm so với các cấu trúc trực tiếp chẳng hạn như trong dự đoán tuyến tính xử lý tiếng nói. Các loại mạch lọc mô tả trên là các mạch lọc tuyến tính vì các mẫu lối ra của chúng là một tổ hợp tuyến tính của các mẫu lối vào và các mẫu lối ra trước đó. Ngoài ra, trong một số trường hợp, người ta còn sử dụng các mạch lọc thích nghi phi tuyến. Đối với các mạch lọc thích nghi phi tuyến cần các thống kê bậc cao hơn bởi vì tín hiệu mong muốn cần tách ra lại bị lẫn trong các quá trình thống kê không phải dạng gausian. Có hai loại mạch lọc thích nghi phi tuyến cơ bản. Đó là các mạch lọc thích nghi phi tuyến dựa trên chuỗi Volterra và mạng Neural. Trong đồ án này, ta chỉ đề cập đến mạch lọc thích nghi tuyến tính và cụ thể là mạch lọc Wiener FIR. 2.3 Bộ lọc Wiener 2.3.1 Đại cương Khác với hầu hết các loại lọc số được thiết kế dựa trên các khái niệm trong miền tần số, các bộ lọc Wienner được phát triển dùng các khái niệm về miền thời gian. Các bộ lọc Wienner được thiết kế để tối thiểu hoá sai số trung bình bình phương (MSE) giữa đầu ra của nó và một tín hiệu ra mong muốn hoặc yêu cầu. Vì thế chúng được cho là tối ưu theo nghĩa của sai số bình phương trung bình. Định nghĩa đặc biệt này về tối ưu có thuận lợi là dẫn đến các lời giải có dạng hữu hạn cho các hệ số của bộ lọc về mặt hàm tự tương quan của tín hiệu đi vào bộ lọc và hàm tương quan chéo giữa tín hiệu vào và ra yêu cầu. Bộ lọc thích nghi chính là cơ chế để thực hiện bộ xấp xỉ Wienner tối ưu khi không có được cụ thể các hàm tự tương quan và tương quan chéo. Thay vào chỗ các hàm đó, cần có một chuỗi tín hiệu vào thứ nhì, có tên là tín hiệu vào huấn luyện hay tín hiệu vào mong muốn. Tín hiệu vào huấn luyện có phần nào đó gần đúng với tín hiệu ra mong muốn của bộ lọc. Tín hiệu vào nói trên có trong nhiều ứng dụng của bộ lọc thích nghi. Đáp ứng xung của bộ lọc thích nghi sau đó sẽ được biến đổi dần dần khi ngày càng có thêm nhiều dãy tín hiệu được theo dõi và nhiều dãy tín hiệu huấn luyện, khiến cho dãy tín hiệu ra x(n) càng gần đúng với dãy tín hiệu huấn luyện x(n) và nhờ đó ta xấp xỉ được tín hiệu ra của bộ lọc tối ưu. Bây giờ ta xét một ví dụ minh hoạ ứng dụng bộ lọc thích nghi trong ước lượng tuyến tính.(Hình 2.5) Tín hiệu ta chú ý đến là dãy {x(n)}, nhưng ta lại không thể quan sát nó trực tiếp, mà chỉ có thể quan sát dãy {y(n)} được tạo ra bằng cách đưa dãy {x(n)} vào một hệ tuyến tính, làm méo tín hiệu và bổ sung tạp âm hoặc nhiễu vào đầu ra. Để tái tạo {x(n)} từ y{(n)} ta cần thiết kế một bộ lọc tuyến tính như trong hình 2.6. Đầu ra của bộ lọc mới này là dãy {x(n)}, ước lượng của tín hiệu ta quan tâm là {x(n)} . Chất lượng của tín hiệu ước lượng được đặc trưng bằng hàm sai số {e(n)}, là tín hiệu của dãy mang thông tin và dãy ước lượng: e(n) = x(n) - x(n) (2.4) Lúc này ta cần chọn đáp ứng sao cho sai số e(n) là nhỏ nhất. Đây chính là bài toán tối ưu hoá. Ta cần tối thiểu hóa hàm f(e) của sai số đối với đáp ứng xung. Một cách thuận tiện là lấy vi phân của hàm đối với mỗi điểm trong đáp ứng xung và sau đó tìm một giá trị cho đáp ứng xung khiến cho tất cả vi phân đồng loạt bằng không. Do đó chọn f(e) = e2 là hết sức thuận lợi (như trong hình 2.7), vì hàm bậc hai đơn giản này dễ lấy vi phân và khiến cho công việc tìm một đáp ứng xung thích hợp dễ thực hiện. Ngoài ra, vì thường xuyên phải làm việc với các tín hiệu ngẫu nhiên hoặc có một thành phần ngẫu nhiên, nên lựa chọn thông thường nhất cho hàm giá là sai số trung bình bình phương (MSE).  (2.5) Như vậy, bộ lọc tối ưu được định nghĩa như bộ lọc giảm thiểu được sai số trung bình bình phương (MSE), trong nhóm tất cả các bộ lọc tuyến tính có thể sử dụng. 2.3.2 Bộ lọc thích nghi FIR Xét mạch lọc có sơ đồ cho trên hình 2.1, trong đó x[n] là lối vào của mạch lọc, d[n] là lối ra mong muốn, táp trọng số của mạch lọc là w0, w1,..., wN-1 . Ta biễu diễn dãy lối vào và táp trọng số của mạch lọc là những vectơ: w = [w0, w1 ... wN-1]T (2.6) và x[n] = {x[n] x[n-1] ... x[n-N+1]}T (2.7)

Các file đính kèm theo tài liệu này:

  • docLoc thich nghi_LMS.doc
  • rarCTrinhmophong_Matlab.rar
  • pdfLoc thich nghi_LMS.pdf
Luận văn liên quan