Mạch đếm thuận ngịch và mạch đồng hồ

Các linh kiện được sử dụng trong mạch: 1. Flip Flop JK 2. Cổng AND 2 đầu vào (7408) 3. Cổng NOT (7414) 4. Cổng OR 2 đầu vào (7432) 5. IC giải mã hiển thị LED 7 đoạn Anode chung (74247) 6. Led 7 đoạn anod chung. Mạch gồm các phần: 1. Mạch đếm đồng bộ. 2. Khởi tạo giá trị 359. 3. Bẩy giá trị f(hex) đưa về số 9(mạch đếm xuống) và giá trị A(hexa) đưa về số 0 (mạch đếm lên). 4. Bẫy số 0 để kích hoạt mạch đếm lên và bẫy số 359 để kích hoạt mạch đếm xuống. 5. Bẫy đưa về số 9 (mod 10). 6. Giải mã hiển thị Led 7 đoạn Anode chung (Dùng IC 74247). 7. Tắt số 0 vô nghĩa.

pdf23 trang | Chia sẻ: lvbuiluyen | Lượt xem: 5688 | Lượt tải: 2download
Bạn đang xem trước 20 trang tài liệu Mạch đếm thuận ngịch và mạch đồng hồ, để xem tài liệu hoàn chỉnh bạn click vào nút DOWNLOAD ở trên
TRƯỜNG ĐẠI HỌC CÔNG NGHỆ THÔNG TIN KHOA MẠNG MÁY TÍNH & TRUYỀN THÔNG MẠNG MÁY TÍNH 04 BÁO CÁO ĐỒ ÁN MÔN HỌC ĐTTCNTT GV HƯỚNG DẪN: NGÔ HÁN CHIÊU Nhóm 7: Trần Ngọc Dũng 09520040 Hồ Trần Bắc An 09520001 Nguyễn Hoàng Anh 09520007 Nguyễn Trần Anh Dũng 09520043 Huỳnh Vũ Phương Hoàng 09520095 MẠCH ĐẾM THUẬN NGỊCH VÀ MẠCH ĐỒNG HỒ Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 2 Mục Lục LỜI GIỚI THIỆU ...................................................................................................................... 3 Phần I: Cơ sở lý thuyết liên quan ............................................................................................... 4 I. Flip Flop .......................................................................................................................... 4 II. Hệ chuyển mã ................................................................................................................. 4 III. Hệ mã hoá và giải mã: ................................................................................................. 5 IV. Hệ tuần tự( hệ đếm) ..................................................................................................... 7 Phần II. Thiết kế mạch ............................................................................................................... 9 I. Mạch đồng hồ ................................................................................................................. 9 II. Mạch đếm thuận ngịch 3591 ..................................................................................... 15 Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 3 LỜI GIỚI THIỆU Lời đầu tiên, em xin gửi lời cám ơn chân thành đến thầy Ngô Hán Chiêu người đã truyền thụ cho chúng em những nguồn kiến thức quý giá về môn Điện tử số và cũng đồng thời cám ơn quý thầy cô cùng các bạn đã phần nào giúp đỡ bọn mình hoàn thành báo cáo này. Flip flop là một trong những linh kiện phổ biến hiện nay. Có nhiều loại flip flop khác nhau, chúng được ứng dụng rộng rãi trong ngành kỹ thuật điện tử. tiêu biểu là ứng dụng trong mạch đếm. Bởi vậy, sau đây chúng em xin dùng IC đếm 74LS90( tích hợp flip flop) để thích kế mạch đồng hồ và cũng đồng thời thiết kế mạch đếm thuận nghịch 3591 sử dụng flip flop JK để làm rõ cơ chế hoạt động cũng như ứng dụng của nó trong mạch đếm nói riêng và mạch điện tử số nói chung. Trong đề tài có nhiều sự thiếu sót không tránh khỏi rất mong sự góp ý của thầy và các bạn để đề tài được hoàn thiện hơn. Người thực hiện Ngọc Dũng-Hoàng Anh-Bắc An Anh Dũng- Phương Hoàng Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 4 Phần I: Cơ sở lý thuyết liên quan I. Flip Flop 1. Khái niệm Flip Flop được cấu tạo từ các cổng logic, có thể nói FF là tổ hợp các cổng logic hoạt động theo một quy luật định trước. FF bao gồm:  Chân nhận xung đồng hồ, xung nhịp, xung clock (Ck).  Hai ngõ ra dữ liệu (data) là Q và ̅ .  Có 1 hoặc 2 ngõ chức năng quy định hoạt động của FF: S, R, D, J,K.  Ngoài ra FF còn có hai chân: Clr ( clear) và chân Pre ( reset). Khi tác động vào chân Clr sẽ xoá FF làm Q = 0, ̅ = 1. Khi tác động vào chân Pre sẽ đặt FF làm Q = 1, ̅= 0. 2. Hoạt động của flip flop Khi nhận một xong clock tại chân Ck, FF sẽ thay đổi trạng thái một lần. Trạng thái mới sẽ tuỳ thuộc vào mức logiccủa các chân chức năng, và tuỳ thuộc theo bảng sự thật của mỗi loại FF. 3. Phân loại FF: Theo chức năng: có 4 loại: SK- FF, D- FF, T- FF, JK- FF. Theo trạng thái tác động của xung clock: có 5 loại:  FF tác động mức 0.  FF tác động mức 1.  FF tác động cạnh lên.  FF tác động cạnh xuống.  FF tác động chủ tớ. II. Hệ chuyển mã 1. Số BCD: ( Binary Code Decimal). Được tạo nên khi ta mã hoá mỗi đecac của một số thập phân dưới dạng một số nhị phân 4 bit. Lưu ý: các phép cộng và trừ số BCD được thực hiện giống như số nhị phân. Tuy nhiên nếu phép tính có nhớ thì sau khi được kết quả ta phải hiệu đính bằng cách trừ cho 10(D) hay cộng 6(D). Thông thường sau mỗi lệnh cộng hoặc trừ số BCD ta kèm theo lệnh hiệu đính. 2. Hệ chuyển từ mã nhị phân sang mã BCD: Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 5 In (BCD) Thập phân A B C D 0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 0 1 10 1 0 1 0 11 1 0 1 1 12 1 1 0 0 13 1 1 0 1 14 1 1 1 0 15 1 1 1 1 III. Hệ mã hoá và giải mã: 1. Hệ mã hoá: Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 6 *Bảng chân trị 0 1 2 3 4 5 6 7 8 9 D C B A 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 1 0 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 1 0 0 0 1 1 1 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 * Phương trình logic: D = 8 + 9 C = 4 + 5 + 6 + 7 B = 2 + 3 + 6 + 7 A = 1 + 3 + 5 + 7 + 9 * Sơ đồ mạch logic: Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 7 3. Hệ giải mã: Xây dựng hệ giải mã cho led 7 đoạn anode chung. * Bảng sự thật: In (BCD) Out (Mã 7 đoạn) A B C D g f e d c b a 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 0 0 1 1 0 1 1 0 0 0 0 0 1 0 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 0 0 0 0 0 1 0 0 1 1 1 1 1 1 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 1 0 1 0 X X X X X X X 1 0 1 1 X X X X X X X 1 1 0 0 X X X X X X X 1 1 0 1 X X X X X X X 1 1 1 0 X X X X X X X 1 1 1 1 X X X X X X X IV. Hệ tuần tự( hệ đếm) 1. Khái niệm Hệ đếm nối tiếp: xung đếm chỉ đưa vào một FF. Hệ đếm song song: xung đếm được đưa vào tất cả các phần tử đếm. Để thành lập một hệ đếm ta sử dụng JK- FF. Nếu có nFF thì thành lập được hệ đếm có dung lượng tối đa là 2n. VD: 2FF thành lập hệ đếm 4. 3FF thành lập hệ dếm 8. 4FF thành lập hệ đếm 16. Hệ đếm: đếm nối tiếp, đếm song song. 2. Hệ đếm bất kỳ: Gọi N là số trạng thái của 1 hệ đếm bất kỳ n là số bit đếm. Ta có : 2 n-1 < N < 2 n . Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 8 Ví dụ: thành lập hệ đếm 6_đếm lên. Ta có: 2 2 <6<2 3 sử dụng 3 FF. Bảng Trạng Thái: Số Q3 Q2 Q1 1 0 0 0 2 0 0 1 3 0 1 0 4 0 1 1 5 1 0 0 1 0 1 3. Ghép các hệ đếm: Nếu có hai hệ đếm N & M, ta có thể ghép nối tiếp thành hệ đếm có hung lượng N*M trạng thái. * Nguyên tắc ghép:  đặt xung clock vào bộ đếm M.  Lấy tín hiệu từ bit có trọng số cao nhất của bộ đếm M làm xung clock cho bộ đếm N. VD: Hệ đếm 10 ghép với hệ đếm 6 thành hệ đếm 60. Xoá bit nhớ về 000 Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 9 Phần II. Thiết kế mạch I. Mạch đồng hồ 1. Sơ đồ khối * Nhiệm vụ các khối:  Khối tạo xung: tạo xung vuông với tần số 1Hz.  Khối đếm: là các FF nhận xung dao động để xử lý đưa ra tín hiệu mã hoá BCD.  Khối giải mã: giải mã BCD để đưa ra khối hiển thị.  Khối hiển thị: hiển thị tín hiệu sau giải mã. 2. Khối tạo xung Bộ tạo xung là thành phần quan trọng nhất của mạch. Đặc biệt là đối với bộ đếm, nó quyết định các trạng thái ngõ ra của bộ đếm Có rất nhiều mạch dùng tạo dao động, nhưng thông dụng nhất vẫn là mạch dao động dùng IC 555 a. IC NE555 Đây là loại IC tạo xung vuông khá là phổ biến nó được ứng dụng nhiều trên các mạch điện tử. Đối với bài này là nó chỉ có nhiệm vụ tạo xung vuông với tần số f = 1HZ. Đây là hình dạng và sơ đồ chân của IC 555: Sơ đồ chân như sau : Chân 1: Nối GND. Chân 2: Trigger Input ( ngõ vào xung ). Chân 3: Output ( ngõ ra). Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 10 Chân 4: Reset (đặt lại). Chân 5: Control Voltage (điện áp điều khiển). Chân 6: Threshold (thềm- ngưỡng). Chân 7: Discharge ( xả điện). Chân 8: Nối Vcc. Công thức tính tần số dao động của IC:(Nhìn hình vẽ trên) f = 1/( ln2.C1.(R3 + R4) b. Mạch tạo xung *sơ đồ mạch 3. Khối đếm *IC 74LS90 Con TTL này cũng khá quen thuộc nó là con đếm mã nhị phân chia 10 mã hóa ra BCD. Cứ mỗi 1 xung vào thì nó đếm tiến lên 1 và được mã hóa ra 4 chân. Khi đếm đến 10 tự nó sẽ reset và quay trở về ban đầu. 2 thông số quan trọng để thiết kế mạch đếm này là: Bảng chân trị mã hóa ra BCD và điều kiện để Reset (Trở về trạng thái ban đầu) + Bảng chân trị mã hóa ra BCD Khi sản xuất ra con này nhà sản xuất đã cung cấp cho chúng ta bảng mã hóa của con này do đó mà mình không thể tạo ra bảng mã này được. Sau đây là bảng mã của nó được lấy từ datasheet: Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 11 Trong bảng chân lý trên nó có 1 chú ý và chú ý này vô cùng quan trọng là : Đầu ra của Q0 được nối với đầu vào của CP1. + Mức Reset cho 74LS90. Nó có 4 chân Reset dùng để reset hệ thống với các chân : MR1, MR2, MS1, MS2. Đưa các mức thích hợp vào các chân này thì nó sẽ tự động Reset. Sau đây là bảng mức Reset 4. Khối giải mã *IC 74LS74 Mạch giải mã là mạch có chức năng ngược lại với mạch mã hoá. Mục đích sử dụng phổ biến nhất của mạch giải mã là làm sáng tỏ các đ n để hiển thị kết quả ở Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 12 dạng chữ số. Do có nhiều loại đ n hiển thị và có nhiều loại mã số khác nhau nên có nhiều mạch giải mã khác nhau. Ví dụ: giải mã 4 đường thành 10 đường, giải mã BCD sang thập phân IC74LS74 là loại IC giải mã BCD sang led 7 đoạn. Mạch giải mã BCD sang led 7 đoạn là mạch giải mã phức tạp vì mạch phải cho nhiều ngõ ra lên cao hoặc xuống thấp ( tuy vào loại đ n led là anod chung hay catod chung) để làm các đ n cần thiết sáng nên các số hay kí tự. IC 74LS74 là loại IC tác động ở mức thấp có ngõ ra cực thu để hở và khả năng nhận d ng đủ cao để thúc trực tiếp các đ n led 7 đoạn loại anod chung. *Hình dạng và sơ đồ chân  Chân 1, 2, 6, 7: Chân dử liệu BCD vào dữ liệu này được lấy từ IC đếm.  Chân 9, 10, 11, 12, 13, 14, 15: Các chân ra tác động mức thấp (0) và được nối với LED 7.  Chân 8: Chân nối GND.  Chân 16: Chân nối Vcc = 5V.  Chân 4: Chân này không cần biết theo datasheet thì cho nó lên Vcc  Chân 5: Ngõ vào xoá dợn sóng RBI được để không hay nối lên cao khi không được dùng để xoá số 0( số 0 ở trước số có nghĩa hay số 0 thừa bên trái dấu chấm thập phân).  Chân 3: Chân này cũng thế cho nó lên Vcc = 5V Bảng chân lý các giá trị Input/Output của 74LS47 Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 13 Nhìn trên bảng chân lý trên ta thấy với 4 đầu vào sau khi giải mã nó cho ra 15 giá trị của mã LED 7 vạch và hiện thị được lên LED 7 vạch. Sự hoạt động của mạch được thể hiện ở bảng chân lý, trong đó đối với các ngõ ra H là tắt và L là sáng, nghĩa là nếu 74LS47 thúc đ n led 7 đoạn thì các đoạn a, b, c, d, e, f, g của đ n sẽ sáng hay tắt tuỳ vào ngõ ra tương ứng của 74LS47 là L hay H nên do đó ta phải dùng LED anot chung! 5. Khối hiển thị Hiển thị dùng led 7 đoạn loại anod chung do đầu ra của IC 74LS74 có mức tích cực là cực 0( mức thấp). Ở loại anod chung ( anod của đ n được nối lên +5V, đoạn nào sang ta nói đầu catod của đoạn đó xuống mức thấp. Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 14 6. Mạch nguyên lý Khối 1: mạch tạo xung 1 giây. Khối 2: mạch đếm giây Khối 3: mạch đếm phút Khối 4: mạch đếm giờ Khối 5: mạch giải mã Khối 6: led hiển thị giờ : phút *nguyên lý hoạt động của mạch Xung kích được tạo ra từ 555 và xung này được đưa tới chân 14 của 74ls90 . Ngõ ra xung của (Q0,Q1,Q2,Q3) được đưa đến ngõ vào của IC giải mã 74Ls47 + Đối với 2 IC đếm giây (IC1 và IC2) . Xung được cấp cho IC1, IC 1 này nó đếm giá Nối với +5V Nối với đầu ra đã được giải mã( IC 74LS74 2 3 4 5 6 1 Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 15 trị của 9 xung sau khi đếm hết giá trị của 9 xung và nó cấp cho IC 2 một xung đếm. Khi đó IC1 đếm về 0 và IC 2 đếm 1 tức là được giá trị là 10. Khi đó IC 1 vẫn đếm từ 0 đến 9 và cấp tiếp tục cho IC . Khi IC2 đếm đến 5 và sang 6 thì cả hai IC 1 và 2 bị Reset hoàn toàn trở về 0. Lúc đó nó cấp cho IC đếm phút 1 xung. + IC đếm phút (IC3 và IC4): Khi IC3 nhận được từ IC2 nó lại bắt đầu đếm như IC đếm giây tời giá trị là 59. Nhưng mà IC đếm giây đếm được 59 thì IC đếm phút mới đếm được 1.Khi IC3 và IC4 đếm được đến 59 thì tại lúc này cả IC đếm giây cũng đến 59 thì tất cả 4 IC này bị Reset tất cả về 0. Và IC 3 cấp cho IC 5 của IC đếm giờ 1 xung. +IC đếm giờ : (IC5 và IC6): Nhận xung từ IC 4.IC 5 được nhận xung từ IC 4 và bắt đầu cũng đếm giá trị Reset của giây và phút. IC 5 đếm đến 9 thì cấp xung cho IC 9 đếm và IC 6 chỉ đếm tới 2 và IC 5 chỉ đếm tới 3 nên tại thời điểm sang 24 tất cả các IC đếm reset về O hết. II. Mạch đếm thuận ngịch 3591 1. Sơ đồ khối 2. Sơ đồ mạch Mạch điều khiển đếm lên/xuống 359-1 Mạch đếm hàng đơn vị Mạch giải mã IC 74SL74 Hiển thị led 7 đoạn Mạch đếm hàng chục Mạch giải mã IC 74SL74 Hiển thị led 7 đoạn Mạch đếm hàng trăm Mạch giải mã IC 74SL74 Hiển thị led 7 đoạn Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 16 Các linh kiện được sử dụng trong mạch: 1. Flip Flop JK 2. Cổng AND 2 đầu vào (7408) 3. Cổng NOT (7414) 4. Cổng OR 2 đầu vào (7432) 5. IC giải mã hiển thị LED 7 đoạn Anode chung (74247) 6. Led 7 đoạn anod chung. Mạch gồm các phần: 1. Mạch đếm đồng bộ. 2. Khởi tạo giá trị 359. 3. Bẩy giá trị f(hex) đưa về số 9(mạch đếm xuống) và giá trị A(hexa) đưa về số 0 (mạch đếm lên). 4. Bẫy số 0 để kích hoạt mạch đếm lên và bẫy số 359 để kích hoạt mạch đếm xuống. 5. Bẫy đưa về số 9 (mod 10). 6. Giải mã hiển thị Led 7 đoạn Anode chung (Dùng IC 74247). 7. Tắt số 0 vô nghĩa. Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 17 8. Hiển thị (gồm 3 LED). 3. Mạch nguyên lý a. Mạch đếm đồng bộ: 4 Flip Flop có chung 1 dùng chung 1 clock toàn mạch. của mỗi FlipFlop dùng để xuất ra giá trị cho mạch hiển thị. Q của mỗi FlipFlop dùng để tính toán giá trị tiếp theo cho mạch đếm. Bảng giá trị: (mod 10 với trạng thái ban đầu là Q! 3 = 1, Q! 2=0, Q! 1=0, Q! 0=1) Clock pulse Q! 3 Q! 2 Q! 1 Q! 0 _ 1 0 0 1 0 1 0 0 0 1 0 1 1 1 2 0 1 1 0 3 0 1 0 1 4 0 1 0 0 5 0 0 1 1 6 0 0 1 0 7 0 0 0 1 8 0 0 0 0 Hết 1 chu kỳ đếm từ 9 đến 0, mạch bẫy sẽ đưa mạch đếm về giá trị 9 9 1 0 0 1 b. Mạch khởi tạo giá trị 359 FFJK này sử dụng chung clock với clock mạch đếm ngược đồng bộ. Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 18 i. Lợi dụng giá trị ban đầu của FFJK là Q! = 1 và dựa vào bảng trạng thái của flipflop jk set giá trị logic ban đầu cho Q và Q! các Flip Flop JK trong mạch đếm. Clock 0 bật lên: SET = 1, RESET = 0 (do SET được nối với clock và RESET được nối với mạch bẫy 000)  Q = 1 và Q! = 0  Mạch khởi tạo không c n tác dụng gán giá trị ban đầu, mạch đếm ngược đồng bộ được kích hoạt (Bắt đầu từ clock 1 mạch đếm xuống). ii. Mạch khởi tạo giữ giá trị Q=1 và Q! = 0 (SET = 1 và RESET = 0  Q luôn là 1 không cần quan tâm clock hay đầu vào JK) Bảng giá trị: Clock SET RESET Q Q! _ 0 0 0 1 0 1 0 1 0 1 1 0 1 0 iii. Khi mạch đếm đếm đến số 0 (clock toàn mạch = 1), mạch bẫy (số 0 để đưa về số 359) được kích hoạt (trả về cho mạch khởi tạo RESET = 1) FlipFlop khởi tạo (giá trị 359) có: Clock(bật số 0)=SET=1 và RESET = 1  Q=1và Q!=1 (bất kể clock và đầu vào JK) Do Q!=1 nên mạch khởi tạo được kích hoạt set giá trị 359 cho mạch đếmMạch bẫy (số 0 để đưa về số 359) bị mất tác dụng và trả về RESET = 0 cho flipflop khởi tạo (giá trị 359)  RESET = 0 trong khi clock toàn mạch (bật số 0) vẫn đang ở trạng thái cao nên SET = 1  Q = 1 và Q! = 0, mạch khởi tạo không c n tác dụng và giữ giá trị Q = 1 và Q! = 0 cho đến chu kỳ mới. Bảng trạng thái của Flip Flop JK: Set giá trị 359 cho từng mạch đếm cụ thể: Mạch đếm đơn vị: Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 19 FF0: RESET = 1, SET = 0 Q! = 1 FF1: RESET = 0, SET = 1 Q! = 0 FF2: RESET = 0, SET = 1 Q! = 0 FF3: RESET = 1, SET = 0 Q! = 1 Số hiển thị 9. Mạch đếm hàng chục: FF0: RESET = 1, SET = 0 Q! = 1 FF1: RESET = 0, SET = 1 Q! = 0 FF2: RESET = 1, SET = 0 Q! = 1 FF3: RESET = 0, SET = 1 Q! = 0 Số hiển thị 5. Mạch đếm hàng trăm: Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 20 FF0: RESET = 1, SET = 0 Q! = 1 FF1: RESET = 1, SET = 0 Q! = 1 FF2: RESET = 0, SET = 1 Q! = 0 FF3: RESET = 0, SET = 1 Q! = 0 Số hiển thị 3. c. Bẫy số 0 để kích hoạt mạch đếm lên và bẫy số 359 để kích hoạt mạch đếm xuống  Bẫy số 0 Để bẫy số 0 trên mạch đếm (cụ thể là bắt 3 số 0 của 3 mạch đếm trăm, chục và đơn vị) mạch này sử dụng các cổng OR có đầu vào tương ứng với các đầu ra Q! ở tất cả các FlipFlop của mạch đếm. Khi mạch đếm đếm đến 0 thì tất cả đầu vào của các cổng OR ở mạch bẫy này sẽ ở trạng thái thấp (logic 0) do đó đầu ra của tất cả các cổng OR ở trạng thái thấp (logic 0) và đi qua cổng NOT để trả về logic 1 cho đầu cổng AND và cổng AND kết hợp đầu vào !Q=1 tạo một xung CLOCK vào flipflop điều khiển chiều mạch đếm. lúc này Q=1 và Q!=0 như vậy đầu ra của các mạch đếm lên.  Bẫy số 359 Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 21 ta bẫy các trị bit của các số ở hàng đơn vị hàng chục và hàng trăm. Khi đến 359 thì đầu ra của các cổng AND nhận mức logic = 1 và giá trị này được truyền vào cổng RESET của flip flop điều khiển chiều mạch đếm lúc này giá trị của Q và Q! của flip flop được reset tức là Q=0 và Q!= 1  mạch đếm xuống Mạch khởi tạo giá trị 359 cho mạch đếm và bắt đầu 1 chu kỳ mới cho toàn bộ mạch. d. Bẫy đưa về sô 9 ( mod 10) Maïch ñoàng hoà vaø maïch ñeám thuaän nghòch Baùo caùo ñieän töû trong coâng ngheä thoâng tin nhoùm 7 22 Mạch bẫy này dùng để đưa từ 0 về 9 (đếm ngược) của hàng đếm đơn vị và chục (thực chất là bẫy giá trị F tương ứng 4 bit đầu ra Q! 0Q! 3 là 1111). Mạch bẫy này gồm 2 phần nhỏ: - Phần bẫy số F của từng mạch đếm hàng chục và đơn vị (gồm các cồng AND) - Phần set giá trị số 9 cho mạch mạch nào được bẫy (gồm các FlipFlop dùng chung clock toàn mạch) Hoạt động của các FlipFlop trong mạch bẫy này tương tự như mạch khởi tạo giá trị ban đầu (giá trị 359) nên mạch này c n gọi là mạch set giá trị số 9 cho mạch đếm hàng chục và đơn vị. Khi phần bẫy số F được kích hoạt sẽ trả về cho đầu vào RESET = 1 cho các FlipFlop của phần set giá trị số 9 cho mạch được bẫy, hoạt động tiếp theo tương tự như mạch khởi tạo. Đặc biệt ở mạch này, khi set giá trị số 9 cho mạch được bẫy sẽ đồng thời kích clock đầu vào của mạch ở hàng kế tiếp đều bằng ngõ ra Q! của Flipflop (mạch đơn vị được bẫy sẽ kích clock lên ở trạng thái cao của mạch hàng chục và tương tự) để mạch ở hàng này đếm xuống 1 giá trị. e. Tắt số 0 vô nghĩa Ý nghĩa của mạch: Làm cho LED không hiển thị số 0 vô nghĩa ở hàng trăm và chục khi giá trị đếm chỉ còn 2 ha