Thiết kế mạch bằng ngôn ngữ VHDL

VHDL là ngôn ngữ mô tả phần cứng cho các mạch tích hợp tốc độ rất cao, là một loại ngôn ngữ mô tả phần cứng được phát triển dùng cho trương trình VHSIC( Very High Speed Itergrated Circuit) của bộ quốc phò ng Mỹ. Mục tiêu của việc phát triển VHDL là có được một ngôn ngữ mô phỏng phần cứng tiêu chuẩn và thố ng nhất cho phép thử nghiệm các hệ thống số nhanh hơn cũng như cho phé p dễ dàng đưa các hệ thống đó vào ứng dụng trong thực tế. Ngô n ngữ VHDL được ba công ty Intermetics, IBM và Te xas Instruments bắt đầu nghiên cứu phát triển vào tháng 7 năm 1983. Phiên bản đầu tiên được công bố vào tháng 8 -1985. Sau đó VHDL được đề xuất để tổ chức IEEE xem xét thành một tiêu c huẩn chung. Năm 1987 đã đưa ra tiêu chuẩn về VHDL( tiêu chuẩn IEEE-1076-1987). VHDL được phát triển để giải quyết các khó khăn trong việc phát triển, thay đổi và lập tài liệu cho các hệ thống số. VHDL là một ngôn ngữ độc lập khô ng gắn với bất kỳ một phương pháp thiết kế, một bộ mô tả hay công nghệ phần cứng nào. Người thiết kế có thể tự do lựa chọ n công nghệ, phương pháp thiết kế trong khi chỉ sử dụng một ngôn ngữ duy nhất. Và khi đem so sánh với các ngôn ngữ mô phỏng phần cứng khác ta thấy VHDL có một số ưu điểm hơn hẳn là: - Thứ nhất là tính công cộn g: VHDL được phát triển dưới sự bảo trợ của chính phủ Mỹ và hiện nay là một tiêu chuẩn của IEEE. VHDL được sự hỗ trợ của nhiều nhà sản xuất thiết bị cũng như nhiề u nhà c ung c ấp công cụ thiết kế mô phỏ ng hệ thống. - Thứ hai là khả năng được hỗ trợ bởi nh iều công nghệ và nhiều phương pháp thiết kế: VHDL c ho phép thiết kế bằng nhiều phương pháp ví dụ phương pháp thiết kế từ trên xuống, hay từ dưới lên dựa vào các thư viện sẵn có. VHDL cũng hỗ trợ cho nhiều loại công c ụ xây dựng mạc h như sử dụng công nghệ đồng bộ hay không đồng bộ, sử dụng ma trận lập trình được hay sử dụng mảng ngẫu nhiê n. - Thứ ba là tính độc lập với công nghệ: VHDL ho àn toàn độc lập với công nghệ chế tạo phần c ứng. Một mô tả hệ thống dùng VHDL thiết kế ở mức cổng có thể được chuyển thành các bản tổng hợp mạc h khác nhau tuỳ thuộc công nghệ chế tạo phần cứng mới ra đời nó có thể được áp dụng ngay c ho các hệ thố ng đã thiết kế.

pdf141 trang | Chia sẻ: ngtr9097 | Lượt xem: 4539 | Lượt tải: 4download
Bạn đang xem trước 20 trang tài liệu Thiết kế mạch bằng ngôn ngữ VHDL, để xem tài liệu hoàn chỉnh bạn click vào nút DOWNLOAD ở trên
Tr•êng §¹i häc b¸ch khoa Hµ Néi Khoa c«ng nghÖ th«ng tin Bé m«n kü thuËt m¸y tÝnh -------------------------------------- b¸o c¸o ®å ¸n m«n häc ThiÕt kÕ m¹ch nhê m¸y tÝnh §Ò tµi: ThiÕt kÕ m¹ch b»ng VHDL Giáo viên hướng dẫn: th.s. nguyÔn phó b×nh Nhóm sinh viên thực hiện: Lª tuÊn anh Nghiªm kim ph•¬ng NguyÔn quèc viÖt NguyÔn ngäc linh Lớp: ktmt - K46 Hà Nội, 10/2005 §Ò Tµi 4: ThiÕt kÕ vi m¹ch b»ng VHDL Nhãm 4 - 1 - Mục lục Trang Mục lục................................................................................................................. - 1 - Danh mục hình: ................................................................................................... - 3 - Danh mục bảng: .................................................................................................. - 5 - Chương 1: Giới thiệu .......................................................................................... - 6 - 1.1. Giới thiệu về VHDL ............................................................................ - 6 - 1.2. Giới thiệu công nghệ (và ứng dụng) thiết kế mạch bằng VHDL. ... - 7 - 1.2.1 Ứng dụng của công nghệ thiết kế mạch bằng VHDL ................... - 7 - 1.2.2 Quy trinh thiết kế mạch bằng VHDL. ........................................... - 7 - 1.2.3. Công cụ EDA. ............................................................................... - 8 - 1.2.4. Chuyển mã VHDL vào mạch......................................................... - 9 - Chương 2. Cấu trúc mã .................................................................................... - 12 - 2.1. Các đơn vị VHDL cơ bản. ................................................................ - 12 - 2.2. Khai báo Library. ............................................................................. - 12 - 2.3. Entity ( thực thể). .............................................................................. - 14 - 2.4. ARCHITECTURE ( cấu trúc). ........................................................ - 14 - 2.5. Các ví dụ mở đầu. ............................................................................. - 17 - Chương 3: Kiểu dữ liệu .................................................................................... - 20 - 3.1. Các kiểu dữ liệu tiền định nghĩa. ..................................................... - 20 - 3.2. Các kiểu dữ liệu người dùng định nghĩa. ........................................ - 23 - 3.3. Các kiểu con (Subtypes). .................................................................. - 23 - 3.4. Mảng (Arrays). .................................................................................. - 24 - 3.5. Mảng cổng ( Port Array). ................................................................. - 27 - 3.6. Kiểu bản ghi (Records). .................................................................... - 28 - 3.7. Kiểu dữ liệu có dấu và không dấu ( Signed and Unsigned). ......... - 28 - 3.8. Chuyển đổi dữ liệu. ........................................................................... - 29 - 3.9. Tóm tắt. ................................................................................................... - 31 - 3.10. Các ví dụ. .............................................................................................. - 31 - Chương 4: Toán tử và thuộc tính. ................................................................... - 36 - 4.1. Toán tử. .............................................................................................. - 36 - 4.1.1 Toán tử gán. ................................................................................ - 36 - 4.1.2 Toán tử Logic. ............................................................................. - 36 - 4.1.3 Toán tử toán học. ........................................................................ - 36 - 4.1.4 Toán tử so sánh. .......................................................................... - 37 - 4.1.5 Toán tử dịch. ............................................................................... - 37 - 4.2. Thuộc tính. ......................................................................................... - 37 - 4.1.1. Thuộc tính dữ liệu. ...................................................................... - 37 - 4.1.2. Thuộc tính tín hiệu. ..................................................................... - 38 - 4.3. Thuộc tính được định nghĩa bởi người dùng. ................................. - 38 - 4.4. Chồng toán tử. ................................................................................... - 38 - 4.5. GENERIC. ......................................................................................... - 39 - 4.6. Ví dụ. ....................................................................................................... - 39 - Chương 5: Mã song song .................................................................................. - 44 - 5.1. Song song và tuần tự. ........................................................................ - 44 - 5.1.1. Mạch tổ hợp và mạch dãy. .......................................................... - 44 - 5.1.2. Mã song song và mã tuần tự. ...................................................... - 44 - 5.2. Sử dụng các toán tử. ......................................................................... - 45 - 5.3. Mệnh đề WHEN. ............................................................................... - 46 - §Ò Tµi 4: ThiÕt kÕ vi m¹ch b»ng VHDL Nhãm 4 - 2 - 5.4. GENERATE. ..................................................................................... - 52 - 5.5. BLOCK. ............................................................................................. - 53 - 5.5.1. Simple BLOCK ............................................................................ - 53 - 5.5.2. Guarded BLOCK ........................................................................ - 54 - Chương 6: Mã tuần tự ...................................................................................... - 56 - 6.1. PROCESS .......................................................................................... - 56 - 6.2. Signals và Variables. ......................................................................... - 57 - 6.3. IF......................................................................................................... - 57 - 6.4. WAIT.................................................................................................. - 59 - 6.5. CASE. ................................................................................................. - 62 - 6.6. LOOP. ................................................................................................ - 66 - 6.7. Bad Clocking. .................................................................................... - 71 - 6.8. Sử dụng mã tuần tự để thiết kế các mạch tổ hợp. .......................... - 73 - Chương 7: Signal và Variable .......................................................................... - 76 - 7.1. CONSTANT. ..................................................................................... - 76 - 7.2. SIGNAL. ............................................................................................ - 76 - 7.3. VARIABLE ....................................................................................... - 78 - 7.4. Số thanh ghi. ...................................................................................... - 84 - Chương 8: Máy trạng thái................................................................................ - 93 - 8.1. Giới thiệu. .......................................................................................... - 93 - 8.2. Thiết kế theo kiểu 1 (thiết kế theo mô hình may moore). .............. - 94 - 8.3. Thiết kế kiểu 2. ................................................................................ - 100 - 8.4. Kiểu mã hoá: từ nhị phân sang Onehot. ....................................... - 110 - Chương 9: Thiết kế thêm các mạch............................................................... - 112 - 9.1. Barrel Shifter................................................................................... - 112 - 9.2. Bộ so sánh không dấu và có dấu. ................................................... - 114 - 9.3. Bộ cộng Carry Ripple và bộ cộng Carry Look Ahead. ............... - 116 - 9.4. Bộ chia dấu chấm tĩnh. ................................................................... - 120 - 9.5. Bộ điều khiển máy bán hàng. ......................................................... - 123 - 9.6. Bộ nhận dữ liệu nối tiếp.................................................................. - 126 - 9.7. Bộ chuyển song song thành nối tiếp. ............................................. - 128 - 9.8. Trò chơi trên led 7 thanh. ............................................................... - 129 - 9.9. Bộ phát tín hiệu. .............................................................................. - 132 - 9.10. Thiết kế bộ nhớ. ........................................................................... - 134 - Tài liệu tham khảo: ......................................................................................... - 140 - Phân công công việc:....................................................................................... - 140 - §Ò Tµi 4: ThiÕt kÕ vi m¹ch b»ng VHDL Nhãm 4 - 3 - Danh mục hình: Trang Hình 1.1. Tóm tắt quy trình thiết kế VHDL............................................... - 8 - Hinh 1.2.a. Sơ đồ tổng quát về bộ cộng đầy đủ ........................................ - 9 - Hình 1.2.b. Bảng chân lý của bộ cộng đầy đủ .......................................... - 9 - Hình 1.3. Mã thiết kế bộ cộng ................................................................. - 10 - Hình 1.4.a.Các ví dụ về sơ đồ mạch có thể có ứng với mã như hình 1.3 - 10 - Hình 1.4.b: Kết quả mô phỏng bộ cộng được thiết kế theo hình 1.3 ...... - 11 - Hình 2.2: Các phần cơ bản của một Library .......................................... - 13 - Hình 2.3. Các chế độ tín hiệu Hình 2.4. Cổng NAND .......... - 14 - Hình 2.5.a. Sơ đồ của trigo RS .............................................................. - 16 - Hình 2.5.b. Sơ đồ của DFF không đồng bộ ............................................ - 18 - Hình 2.6: Kết quả mô phỏng của ví dụ 2.1 ............................................. - 18 - Hình 2.7. DFF kết hợp với cổng NAND.................................................. - 19 - Hình 2.8. Kết quả mô phỏng của ví dụ 2.2 ............................................. - 19 - Hình 3.1: Minh họa scalar (a), 1D (b), 1Dx1D (c), và 2D (d) ............... - 24 - Hình 3.2. M ạch được suy ra từ mã của v í dụ 3.2.................................. - 34 - Hình 3.2.a. Kết quả mô phỏng cho đoạn mã 1của ví dụ 3.2 ................... - 34 - Hình 3.2.b. Kết quả mô phỏng cho đoạn mã 1của ví dụ 3.2 ................... - 34 - Hình 3.3. Bộ cộng 4 bit cho ví dụ 3.3 ..................................................... - 34 - Hình 3.4 Kết quả mô phỏng cho ví dụ 3.3 .............................................. - 35 - Hình 4.1. Bộ mã hoá cho ví dụ 4.1.......................................................... - 40 - Hình 4.2 Mô phỏng kết quả của bộ mã hoá ............................................ - 41 - Hình 4.3. Bộ phát hiện bít chãn lẻ .......................................................... - 41 - Hình 4.4. Mô phỏng kết quả của hình 4.2 ............................................... - 42 - Hình 4.5. Bộ phát bit chẵn lẻ của ví dụ 4.3 ............................................ - 42 - Hình 4.6. Mô phỏng kết quả của ví dụ 4.3 .............................................. - 43 - Hình 5.1. Mạch tổ hợp và mạch dãy ....................................................... - 44 - Hình 5.2. Bộ dồn kênh............................................................................. - 45 - Hình 5.3. Mô phỏng kết quả của ví dụ 5.1 .............................................. - 46 - Hình 5.4. Bộ dồn kệnh cho ví dụ 2 .......................................................... - 47 - Hình 5.5. Bộ đệm 3 trạng thái................................................................. - 48 - Hình 5.6. Kết quả mô phỏng cho ví dụ 5.3 ............................................. - 48 - Hình 5.7. Bộ mã hoá cho ví dụ 5.4.......................................................... - 49 - Hình 5.8. Kết quả mô phỏng cho ví dụ 5.4 ............................................. - 50 - Hình 5.9. ALU ......................................................................................... - 50 - Hình 5.9.b. Hoạt động chinh của các phần tử ALU................................ - 50 - Hình 5.10. Kết quả mô phỏng của ví dụ 5.5 ........................................... - 51 - Hình 5.11. Kết quả mô phỏng của ví dụ 5.6 ........................................... - 53 - Hình 5.12. Kết quả mô phỏng cho ví dụ 5.7 ........................................... - 55 - Hình 5.13. Kết quả mô phỏng của ví dụ 5.8 ........................................... - 55 - Hình 6.1a.1 DFF với tín hiệu reset không đồng bộ ................................ - 56 - Hình 6.1a.2 Kết quả mô phỏng ............................................................... - 56 - Hình 6.2a.1. Bộ đếm chữ số thập phân ................................................... - 58 - Hình 6.2a.2. Kết quả mô phỏng .............................................................. - 58 - Hình 6.3b.1. Thanh ghi dịch 4 bit ........................................................... - 59 - Hình 6.3b.2. Kết quả mô phỏng .............................................................. - 59 - Hình 6.4a.1. Kết quả mô phỏng .............................................................. - 61 - Hình 6.4b.1. Kết quả mô phỏng .............................................................. - 61 - §Ò Tµi 4: ThiÕt kÕ vi m¹ch b»ng VHDL Nhãm 4 - 4 - Hình 6.5a.1. Kết quả mô phỏng .............................................................. - 64 - Hình 6.5b.1. Bộ đếm 2 chữ số thập phân ................................................ - 64 - Hình 6.5b.2. Kết quả mô phỏng .............................................................. - 65 - Hình 6.6a.1. Bộ cộng có nhớ 8 bit không dấu ........................................ - 67 - Hình 6.6a.2. Kết quả mô phỏng .............................................................. - 67 - Hình 6.6b.1. Bộ dich đơn giản ................................................................ - 69 - Hình 6.6b.2. Kết quả mô phỏng .............................................................. - 69 - Hình 6.6c.1. Kết quả mô phỏng .............................................................. - 70 - Hình 6.7a.1. RAM ................................................................................... - 72 - Hình 6.7a.2. Kết quả mô phỏng .............................................................. - 72 - Hình 6.8a.1. Mạch tổ hợp sai và các bảng thật ...................................... - 74 - Hình 6.8a.2. Kết quả mô phỏng .............................................................. - 74 - Hình 7.2a.1. Kết quả mô phỏng .............................................................. - 77 - Hình 7.3a.1. Kết quả mô phỏng .............................................................. - 78 - Hình 7.3b.1. Bộ dồn kênh 4-1 ................................................................. - 79 - Hình 7.3b.2. Kết quả mô phỏng cách 1 và 2 ........................................... - 81 - Hình 7.3c.1. DFF .................................................................................... - 81 - Hình 7.3c.2. Kết quả mô phỏng cách 1 và 2 ........................................... - 83 - Hình 7.3d.1. Bộ chia tần ......................................................................... - 83 - Hình 7.3d.2. Kết quả mô phỏng .............................................................. - 83 - Hình 7.4a.1. Các mạch suy ra từ mã của cách 1 và 2 ............................ - 85 - Hình 7.4a.2. Kết quả mô phỏng cách 1 và 2 ........................................... - 85 - Hình 7.4b.1. Bộ đếm 0 – 7 ...................................................................... - 87 - Hình 7.4b.2. Kết quả mô phỏng cách 1 và 2 ........................................... - 88 - Hình 7.4c.1. Thanh ghi dịch 4 cấp .......................................................... - 88 - Hình 7.4c.2. Kết quả mô phỏng cách 1, 2, và 3 ...................................... - 90 - Hình 7.4d.1. Thanh ghi dịch 4 bit ........................................................... - 90 - Hình 7.4d.2. Kết quả mô phỏng .............................................................. - 92 - Hình 8.1 Sơ đồ máy trạng thái ................................................................ - 93 - Hình 8.2. Sơ đồ trạng thái của bộ đếm BCD .......................................... - 97 - Hình 8.3. Kết quả mô phỏng của bộ đếm BCD....................................... - 99 - Hình 8.4. Máy trạng thái của ví dụ 8.2 ................................................... - 99 - Hình 8.5. Kết quả mô phỏng cho ví dụ 8.2 ........................................... - 100 - Hình 8.6.1 Sơ đồ mạch kiểu 1 - Hình 8.6.2. Sơ đồ mạch kiểu 2 .......... - 101 - Hình 8.7.Kết quả mô phỏng cho ví dụ 8.3 ............................................ - 103 - Hình 8.8. Sơ đồ trạng thái của bộ phát hiện chuỗi ............................... - 104 - Hình 8.9.Kết quả mô phỏng cho bộ đoán nhận xâu.............................. - 105 - Hình 8.10.a. Sơ đồ nguyên lý hoạt động của TLC ............................... - 105 - Hình 8.10.b. Đồ hình trạng thái của TLC ............................................. - 106 - Hình 8.11.a. Kết quả mô phỏng TLC ở chế độ hd bình thường ............ - 108 - Hình 8.11.b. Kết quả mô phỏng TLC ở chế độ kiểm tra ....................... - 108 - Hình 8.12.Dạng tín hiệu cần tạo. .......................................................... - 108 - Hình 8.13.Kết quả mô phỏng cho ví dụ 8.6 .......................................... - 110 - Hình 9.1. Bộ dịch barrel ....................................................................... - 112 - Hình 9.2.Kết quả mô phỏng cho bộ dịch barrel ................................... - 114 - Hình 9.3.Mô hình của bộ so sánh ....................................................... - 114 - Hình 9.4. Kết quả mô phỏng bộ so sánh có dấu ................................... - 115 - Hình 9.5.1.Kết quả bộ so sánh không dấu 1 ......................................... - 115 - Hình 9.5.2. Kết quả của bộ so sánh không dấu2 .................................. - 116 - Hình 9.6. Sơ đồ bộ cộng ripple carry ................................................... - 117 - §Ò Tµi 4: ThiÕt kÕ vi m¹ch b»ng VHDL Nhãm 4 - 5 - Hình 9.7. Kết quả mô phỏng cho bộ cộng ripple carry ........................ - 117 - Hình 9.8.1. Sơ đồ bộ cộng carry look ahead ......................................... - 118 - Hình 9.8.2. Kết quả mô phỏng cho bộ cộng carry look ahead .............. - 119 - Hình 9.9. Thuật toán chia ..................................................................... - 120 - Hình 9.10.1. Kết quả mô phỏng bộ chia ............................................... - 121 - Hình 9.10.2.Kết quả mô phong bộ chia thứ 2 ....................................... - 122 - Hình 9.11. Đồ hình trạng thái của bộ điều khiển máy bán hàng .......... - 123 - Hình 9.12.Kết quả mô phỏng bộ điều khiển máy bán hàng .................. - 126 - Hình 9.13. Sơ đồ bộ nhận dữ liệu nối tiếp ............................................ - 126 - Hình 9.14.Kết quả mô phỏng bộ nhận dữ liệu ...................................... -